Subscribe

A Quick Guide to Advanced Packaging and its Rise

Semiconductor packaging is a previously overlooked back-end process of semiconductor production. As chipmakers reach the limit on node size, the next battleground for improving chip performance will shift from size to advanced packaging technology.

Making a single, functioning semiconductor chip can be long and arduous. Specific steps can be prioritized to increase the functionality or performance of semiconductors during production. An example of this prioritization can be found in the development race between original component manufacturers (OCMs) eager to reach sub-2-nanometer (nm) chipmaking technology. OCMs always seek new avenues to improve chip functionality with their growing limited space.

Usually, most of these attempts revolve around the front-end process of designing and fabricating wafers, the basis of integrated circuits (ICs). More often than not, back-end processes, such as semiconductor packaging, have been undervalued due to the ability to use old-generation equipment on current-generation chips. Likewise, packaging is typically done by outsourced semiconductor assembly and test companies (OSATs).  

Thanks to the rise of advanced packaging, the traditional model of using old equipment and OSATs might soon expire.  

What is Advanced Packaging?

Introduced around 2000, “advanced packaging uses sophisticated technology and aggregates components from various wafers, creating a single electronic device with superior performance.” A wafer’s packaging can be metal, plastic, ceramic, or glass, which connects it to its environment and, most importantly, protects the sensitive wafers from chemical contamination, light, heat, and impact damage.  

Advanced packaging offers greater functionality to components that undergo this process. It was created to meet the growing demand for semiconductors in new, emerging technologies such as autonomous vehicles, 5G, and artificial intelligence (AI). These products often require high-performance, low-power chips that quickly process vast data.  

Investing in node advancement, or shrinking transistor size, has led the charge, but it is finally at the precipice of its natural conclusion. Nodes can only become so small, and now, with sub-2nm components within the industry’s reach, chipmakers are exploring new areas to expand chip performance further.  

Advanced packaging techniques promise to be the next battlefront between chipmakers looking for new ways to improve component performance. According to research by McKinsey, “advanced packaging offers a higher-value opportunity than traditional back-end packaging. Major players and fast followers (organizations that imitate competitors’ innovations) are developing and commercializing various forms of the technology to win premium customers.”

Over the last few decades, new technologies, such as 2.5-D, 3-D, fan-out, and system-on-a-chip (SoC) packaging, have shown promising results.  

The Rise of Advanced Packaging Over the AI Boom

Currently, three chipmakers dominate the realm of advanced packaging: TSMC, Samsung, and Intel. Unsurprisingly, the three leaders in node advancement, all with sub-2nm production schedules in the next few years, are also battling for the top spot in advancement packaging.

After investing in advanced packaging technology nearly a decade ago, TSMC has patented almost 3,000 advanced packaging technologies. Samsung is close behind with 2,400 patents, and Intel is in third with 1,400.  

In 2022, Samsung stepped up its game by creating a team dedicated to developing new processes. Samsung Advanced Interconnection Technology, or SAINT, is driving its packaging initiative. The SAINT process involves “connecting different types of chips to operate cohesively as a single unit. In contrast to conventional horizontal placement, 3D packaging distinguishes itself by vertically stacking chips.”

So far, Samsung has seen successful technical verification of different variations of its SAINT technology for SRAM, SAINT-S, with expectations that it will finalize the success of its DRAM variation called SAINT-D. SAINT-D entails the placement of DRAM for data storage on top of processors, including CPU and graphics processing unit (GPU).  

Similarly, TSMC has recently opened its first all-in-one advanced packaging and testing facility to produce its system-on-integrated-chip (SoIC) and 3DFabric packaging technologies specifically for artificial intelligence applications and data centers. During the AI boom in 2023, thanks to ChatGPT, TSMC’s advanced packaging process became the go-to for Nvidia’s coveted GPU product line-up.  

Last year, TSMC strained to keep up with advanced packaging capacity as Nvidia, AMD, and Amazon increased orders for AI-capable components. In late 2023, TSMC increased its equipment orders for chip-on-wafer-on-substrate (CoWoS) by 30% to meet growing AI-fueled demand. CoWoS is a high-density advanced packaging technology developed by TSMC for advanced chips that saw bottlenecks last year.  

In September, “TSMC’s monthly capacity of CoWoS advanced packaging was approximately 12,000 units. To accommodate the proposed production expansion, the monthly capacity of CoWoS was set to increase to between 25,000 and 30,000 units.”  

To increase production capacity, TSMC has invested in new global facilities and helped develop advanced packaging capabilities elsewhere, like the United States. This will help expand the diversity of advanced packaging manufacturing sites worldwide, as most are based in Taiwan. According to sources familiar with the matter, TSMC is also considering building an advanced packaging facility in Japan.

Other chipmakers will likely invest in backend semiconductor production processes like advanced packaging now that chip sizes are at their limit. Even OSATs are expanding their capabilities away from old-generation technology to the advanced processes TSMC, Samsung, and Intel are using. Since advanced packaging allows customers to become foundry independent, as the design is no longer in the chips but rather how they are packaged together, they’ll want to work with the same manufacturer to design all future products with the same system.  

The top three are carving out specific niches within advanced packaging by advertising different benefits or use cases. Intel has specifically targeted high-performance applications, working alongside its standing as a world leader in cloud and performance industries. Samsung has focused on high-volume assembly applications, such as its SAINT technology, and TSMC has expanded to collaborate with more comprehensive packaging solutions for various customers.

As more chipmakers invest in advanced packaging technology, innovation will uncover new processes to boost performance or functionality as OCMs carve out their own market space.  

Sourceability Will Help You Get What You Need

Over the last year, the artificial intelligence boom has drawn more attention to advanced packaging capabilities. As TSMC, Samsung, and Intel work towards producing sub-2nm chips, more chipmakers will begin to explore new possibilities to improve component performance in back-end processes. TSMC’s advanced packaging technology made headlines last year when its production capacity grew strained as its popular AI clients, such as Nvidia, booked out massive chunks of its capacity.

The AI market is expected to see a compound annual growth rate (CAGR) of 28.46% over the next decade, leading to increased demand for advanced packaging. Chipmakers are growing their operations, but only three players currently dominate the market, and one is struggling to keep up with production capacity.

As facilities are constructed, bottlenecks are likely to occur, especially if customer orders stay as voracious as they are. It can be challenging to order stock for small or mid-sized original equipment manufacturers (OEMs), contract manufacturers (CMs), and electronic manufacturing service (EMS) providers when competing against industry behemoths. Large OEMs, CMs, and EMS providers can lose stock when AI giants book chunks of production capacity.

Sourceability’s experts will help you get the chips you need with the latest advanced packaging technology through our franchise partnerships and sourcing team. Our e-commerce site, Sourcengine, has over 1.6 billion offers from +3,500 franchised, authorized, and qualified third-party suppliers. Procurement teams and other professional buyers can easily find the parts they need either through the help of our sales team or on their own through Sourcengine.

Hard-to-find parts are no problem with Sourceability’s expertise and experience. Contact us today to get started.  

Author of article
Author
Kathryn Ackerman
Kathryn Ackerman is a senior copywriter with experience in the electronic components and tech industry. She works alongside Sourcengine's experts and engineers to provide the latest and most accurate updates within the electronic components industry.
linkedin logox logofacebook logoinstagram logo